elna/tests/print_in_loop.eln

11 lines
93 B
Plaintext
Raw Normal View History

2024-04-12 00:07:46 +02:00
var i: Int;
begin
i := 1;
2024-04-18 12:15:26 +02:00
while i < 6 do
2024-04-12 00:07:46 +02:00
begin
writei(i);
i := i + 1
end;
end.